Glossary

ADC

Acronym for Analog to Digital Converter. An ADC circuit converts an analog signal into its digital counterpart.

Amplifier

An electronic circuit that is designed to accept a small signal and output a larger signal.

Analog

Acronym for Analog to Digital Converter. An ADC circuit converts an analog signal into its digital counterpart.

Analog IC

An Analog Integrated Circuit, also called a linear IC, is a single semiconductor chip that accepts continuously varying current or voltage waveforms representing changes in natural physical phenomena, such as sound, temperature, or electromagnetic waves, and conditions the output signal according to the intent of the circuit. Typical analog devices require more precise control of the parameters of the active and passive elements on the chip than logic devices. There are numerous categories of analog ICs. In modern consumer-oriented digital products analog packages may out-number the highly-integrated logic functions. They work with input devices such as keyboards, sensors, mice, touch screens and output devices such as printers, motor controllers, displays, and audio speakers. They shape signals to communicate within the computer and externally through wire, fiber and wireless networks. And they generate and distribute precise levels of electrical power throughout the system.

Architecture

The Architecture of an integrated circuit is the functional design of a the device that defines its operation within a system including both its hardware and its compatibility with external hardware and software.

ASIC

Acronym for Application Specific Integrated Circuit. An ASIC design is optimized to perform one specific function or operation in a system as efficiently as possible. The term is typically used to describe a custom circuit that is designed and fabricated for use by one customer in one system model or application.

Base

One of three regions of a bipolar junction transistor. A bipolar transistor consists of two back-to-back p-n junctions that share a common central region. Electrical contact is made to all three regions. The outer regions are called the emitter and collector, the thin central region is the base.

Bell System

The Bell System was a trademark and service mark used by the American Telephone & Telegraph Company (AT&T) and its affiliated companies to identify their corporate activities.

Billion

In the American method of numeration, a billion is one thousand millions (1,000,000,000 or 10 to the power of 9). In British numeration, one billion is one million millions (1,000,000,000,000 or 10 to the power of 12).

Bipolar

A class of semiconductor device in which both holes and electrons act as charge carriers. All devices where currents flow across p-n junctions are bipolar devices.

Buried contact

In a silicon-gate MOS integrated circuit, a buried contact is a method of using the polysilicon material deposited to form the gate to also provide an additional layer of interconnection without additional manufacturing steps.

CAD

Acronym for Computer Aided Design. CAD is the use of computer-based tools to improve the productivity and accuracy of the process of design engineering. Modern complex circuits could not be designed without CAD tools.

Capacitor

A device that stores electrical charge, using a positively charged surface and a negatively charged surface with a gap between them.

Cat's Whisker

A tiny metal wire used in early home radio kits. Radio listeners carefully moved the wire tips around on the surface of a crystal to just the right spot to allow an electrical signal to travel down one wire, through the crystal and out the other wire. If the wires were positioned on the right spot, and it was often tough to find the right spot, the radio signal could be heard through the earphones.

CCD

Acronym for Charge-Coupled Device. A semiconductor device in which the state of the charge at the output changes the state of the adjacent device. Proposed as an alternative to rotating memory storage in the 1970s, today it typically used for converting an optical image into an electronic signal.

Charge

An electrical property of particles, such as electrons and protons, which causes them to attract and repel each other. A material with an excess of electrons is defined to have a "negative" charge; material with an absence of electrons (or an excess of protons) is defined as "positive." Materials with a balanced number of electrons and protons are called "neutral."

Chip

A tiny, thin square or rectangle of semiconducting material, typically silicon, that contains one or many transistors to form an integrated circuit. Chips are built in batches on wafers of silicon. A chip is also referred to as a die.

Circuit

A string of electronic devices such as transistors, resistors, capacitors, and diodes connected by wires so that current can run through it in a complete loop. Circuits can be simple or complex. The wiring connecting a switch to a light to the power source and back to the switch is a simple circuit; opening the switch breaks the circuit and stops the current flow. A computer chip is complex network of circuits.

CMOS

Acronym for Complementary Metal Oxide Semiconductor. An MOS technology that combines both positive p-channel and negative n-channel transistors in the same circuit. CMOS circuits consume low amounts of power relative to single polarity devices.

Collector

The region in bipolar transistor that collects carriers from the base and through which the current flow is determined by the current flow in the emitter region.

Complementary Transistor Logic (CTL)

A form bipolar digital integrated circuit that uses npn and pnp transistors to achieve fast switching speed.

Complex Logic IC

A Complex Logic IC is a digital logic integrated circuit of 100 or more gates in complexity. Functions of up to 1,000 gates are described as LSI (Large Scale Integration) devices. VLSI devices exceed 1,000 gates. Most complex logic ICs are designed with MOS transistors. Early calculator, minicomputer and peripheral product functions were partitioned into sets of chips and implemented in a variety of custom, semicustom and user-programmable technologies, including gate arrays, standard cells, and PLDs (Programmable Logic Devices). Today many complex logic functions can be integrated onto a single chip comprising tens or hundreds of thousands of gates.

Conductivity

A measure of a material's ability to conduct current. The reciprocal of resistivity.

Conductor

Any material that easily allows the flow of electricity. Metals are good conductors. Such materials conduct electricity because electrons can move from one atom of a conductor to the next, forming an electric current.

COS/MOS

Complementary Symmetry MOS. Alternate name for CMOS.

CPU

Acronym for Central Processing Unit. The function in a computer or microprocessor where arithmetic operations are performed.

Crystal

Solid material in which all the atoms are aligned in an orderly pattern. Transistors are made out of semiconductor crystals such as germanium and silicon.

Crystal radio

A radio receiver that uses a semiconducting point-contact crystal rectifier to detect radio signals.

Current

The flow of charge carriers (holes or electrons) through a conducting wire or passive or active electronic components.

Current Mode Logic (CML)

High-speed form of digital logic in which transistors switch between on and off states at the fastest possible rate. Charge storage is minimized as the transistors are not driven into a saturated state.

Current Source

An electronic circuit that generates a constant direct current out of or into a high-impedance node.

DCTL

An acronym for Direct Coupled Transistor Logic. A popular from of logic circuit in the days of discrete transistor logic circuits.

Detector

A device that indicates the presence and magnitude of an electronic signal.

Die

A semiconductor fabrication process in which circuit elements are electrically isolated from one another by a physical oxide barrier.

Diffusion

A process whereby dopant impurities are introduced into a semiconductor material by exposing it to high-temperature gases containing the desired dopant elements.

Digital

The expression of information in numerical, typically binary (ones and zeros), form. Derived from the term digit for a finger.

Digital Signal Processing

A category of techniques that analyze signals by converting them into digital data and manipulate them with various algorithms. A Digital Signal Processor is a circuit designed specifically for this purpose.

Digital to Analog Converter (DAC)

A digital to analog converter is a circuit that uses a variety of techniques to translate the value of a digital signal into its analog counterpart.

Diode

An electronic device with two wires or terminals that allows electrical current to flow in only one direction. When used for converting alternating current into direct current it is called a Rectifier. Rectifiers (semiconductor or thermionic) were important in early radios as signal detectors.

Discrete device

A semiconductor chip containing only one active device, such as a transistor or a diode.

Doping

A wafer fabrication process in which areas of silicon exposed by masking are bombarded with chemical impurities (dopants) to alter the manner that the silicon in those areas conducts electricity.

Drain

A highly doped region adjacent to the current-carrying channel of an MOS transistor. Carries electrons out of the transistor to the next circuit element or conductor.

DRAM

A Dynamic Random Access Memory (DRAM) is a semiconductor storage device that stores information using the minimum number of components (from three to just one transistor or capacitor per bit) but must be refreshed or restored on a regular basis before charge representing the information leaks away. Although this requires complex analog and digital supporting circuitry, the overhead is distributed across a large number of bits thus yielding the highest density semiconductor RAM devices.

DSP

A Digital Signal Processor is a circuit designed specifically for the purpose of performing digital signal processing techniques.

DTL

Diode Transistor Logic is a logic switching circuit with diodes at the input and transistor drivers at the output.

Dual-in-Line Package (DIP)

A protective enclosure for a semiconductor device with two rows of electrical connectors (leads) emerging from opposite sides that are bent vertically into parallel rows for insertion into a printed circuit board.

Dynamic RAM

Acronym for Emitter Coupled Logic. A form of very high-speed logic integrated circuitry used in large scientific computers. A synonym for Current Mode Logic.

ECL

Acronym for Emitter Coupled Logic. A form of very high-speed logic integrated circuitry used in large scientific computers. A synonym for Current Mode Logic.

EDA

Acronym for Electronic Design Automation. Use of software tools on a computer to design, lay out, verify and simulate the performance of electronic circuits on a chip or printed circuit board. A coalescence of the functions of computer-aided design (CAD) and computer-aided engineering (CAE).

EEPROM

Acronym for Electrically Erasable Programmable Read-Only Memory. A version of an EPROM that can be erased and written (and changed) after installation in a system.

Electrolyte

An electrolyte is a substance that will dissociate into ions in solution and acquire the ability to conduct electricity.

Electrolytic capacitor

A fixed value capacitor consisting of two electrodes separated by an electrolyte.

Electromigration

Electromigration is the transport of material caused by the gradual movement of the ions in a conductor due to the momentum transfer between conducting electrons and diffusing metal atoms. Electromigration in aluminum interconnect line in an integrated circuit where high current density can lead to either an open circuit in a conductor or a short between adjacent connectors.

Electronics

Electronics is the technology that utilizes the phenomena of controlling the flow of electrons or other charge carriers by means of a vacuum tube or semiconductor device to create a useful function. The term "electron" is derived from the Greek word for amber, a material that played an important role in the discovery of electrical phenomena.

Embedded microcontroller

An embedded microcontroller is a microcontroller (MCU) function that is included within a larger integrated circuit design.

Emitter

The emitter is the electrode of a bipolar transistor from which charge carriers that are minority carriers in the base are injected into the base. This current controls the current flowing through the collector.

Energy band

The energy bands of a semiconductor consist of a Conduction Band where the negative charge carrier electrons exist and the Valence Band where the positive charge carrier holes exist. They are separated in energy by the Energy Gap.

Epitaxy

Epitaxy is the process of growing a crystal of a particular orientation on top of another crystal such that both have the same structural orientation. The resulting structure is described as an epitaxial layer.

EPROM

Acronym for Erasable Programmable Read-Only Memory. An Erasable Programmable Read-Only Memory (EPROM) is a read-only memory device where the data can be erased and new data rewritten into the device multiple times by the user. Early EPROM's required erasure with ultra-violet light through an window in the package. New data was written by placing the unit in an external programming system. An Electrically Erasable PROM can be erased and rewritten without being removed from the system. FLASH is form of EEPROM where erasing can be performed, or “flashed”, in specific locations on the chip. These various forms of EPROM are described generically as non-volatile memory (NVM).

Esaki diode

Alternate name for the Tunnel Diode invented by Leona Esaki of Sony. See Tunnel Diode.

Etching

Etching is the process of removing selected regions of semiconductor wafer defined by a masking operation in a controlled manner using chemical or dry plasma techniques.

Evaporation

One of the final steps in wafer processing during which conductive metal, usually aluminum, is deposited on the surface of the wafer to provide electrical interconnection of the functional elements on a die.

Fabless

A fabless semiconductor company contracts the wafer fabrication process out to a third-party manufacturer, often described as a wafer foundry.

Fabrication (Fab)

The process of manufacturing semiconductor wafers carrying the chips. The fabrication area or "fab" is the physical plant where the manufacturing equipment is housed a sterile, dust-free environment known as a clean room.

Feature size

The smallest controllable dimension on the surface of a die, usually determined by the minimum line-width capability of the fabrication process.

Field Effect Transistor (FET )

The Field Effect is the local change from the normal value that an electric field produces in the charge-carrier concentration of a semiconductor. A Field Effect Transistor is a unipolar device with three or more electrodes in which the output current is controlled by a variable electric field applied to the gate terminal.

Field Programmable Gate Array (FPGA)

A field-programmable gate array is a finished packaged semiconductor device containing logic elements, often called "logic blocks", and interconnects both of which can be configured by the user to create a custom integrated circuit function. Customization is performed using a simple programming unit in the user's facility without recourse to a semiconductor fabrication area.

Flash EPROM

A form of EEPROM where erasing can be performed in specific blocks or locations on the chip. The term "flash" is derived from the chip organization that allows a section of memory cells to be erased in a single action or "flash."

Flat package

One of the smallest integrated circuit package styles with ribbon leads emerging on opposite sides of the container that are attached to the surface of the printed circuit board. It is typically an hermetic ceramic or metal package used for high reliability avionics systems.

Flip-chip

A type of chip construction that "flips" a chip to its front side and attaches it directly to the package or substrate connections with solder balls or beam leads as opposed to wirebonding.

Flip-flop

A digital logic circuit that can be switched back and forth between two stable states. A flip-flops may be used as the storage element in a semiconductor memory.

Floating-gate

A floating gate is an unconnected MOS transistor that is used as a non-volatile storage element in an EPROM device. To program the bit, a voltage is applied to the control gate causing electrons to tunnel through an insulating oxide layer onto the floating gate.

Flying-wires

Flying-wires are thin gold or aluminum wires used to interconnect points on a semiconductor chip or between multiple chips within a package to form a hybrid integrated circuit.

Front end

A common term often applied to the wafer fabrication portion of the semiconductor manufacturing process.

Galena

The common name for lead sulfide (PbS) the principal ore of lead. Used for crystal detectors in early crystal radios.

Gate

The gate of an MOS transistor is the terminal where voltage is applied to control the flow of current between the source and the drain terminals. See also Logic Gate and Floating Gate.

Gate Array

An integrated circuit containing predefined gate functions that can be interconnected in any number of combinations with a custom mask to satisfy specific individual applications (see ASIC).

Halbleiter

The German word for semiconductor. First published use in a paper by J. Königsberger and J. Weiss in the German Annals of Physics in 1911 (Volume 35, Issue 1).

Hermetic device

A semiconductor device in a hollow package with a seal that is that is impervious to moisture.

Hole

The absence of a valence electron in a semiconductor crystal. The movement of a hole is equivalent to the movement of a positive charge.

Hybrid

A microcircuit in an enclosed package composed of multiple semiconductor chips (integrated circuits, discrete transistors, diodes) and passive components mounted on a substrate and interconnected with thin or thick film traces or flying wires.

IC

Abbreviation for integrated circuit.

IGFET (Insulated gate field effect transistor)

Alterative name for an MOS transistor or MOSFET.

Impurity

Physical material that is present in a semiconductor in minute amounts that can provide free electrons or can accept electrons from it and thus change the conductivity of the semiconductor.

Ingot

A cylindrical shaped piece of a nearly pure semiconductor material, such as silicon, from which wafers can be sliced for use in the fabrication process.

Integrated circuit (IC)

An interconnected array of active and passive electronic devices capable of performing a complete electronic circuit function. A complete circuit integrated within a single piece of semiconductor material and interconnected by conducting traces deposited on the surface is a Monolithic IC. Separate semiconductor devices interconnected with flying wires or by traces deposited on the substrate mounting for the devices is a Hybrid IC.

Interconnect

The physical means of making an electrical connection such as a deposited metal connecting two or more active elements on the surface of a die or wires connecting the die to the package leads.

Ion-implantation

The introduction into a semiconductor of selected impurities in controlled regions via a high voltage ion bombardment to achieve desired electronic properties.

Ionic conduction

Electrical conduction where the flow of current is sustained by the movement of ions (as opposed to electrons) within the conductor. Electrical conduction in the atmosphere is ionic conduction.

Junction

The region where an n-type semiconductor meets a p-type semiconductor creating an electronic barrier that will pass current in one direction but not the other to form a diode.

Junction transistor

A junction transistor consists of two back to back semiconductor junctions sharing a common central region in which the flow of current through one junction controls the flow of a larger current in the other junction. The structure forms an NPN or a PNP device known as a bipolar transistor.

LAN (Local Area Network)

A Local Area Network comprises a wireless link or physical wire or fiber optic lines and the controlling electronics that provide common communications capability between a group of computers and associated devices.

Leakage current

A small undesirable flow of current through an insulator, dielectric, or semiconductor device.

LED (Light Emitting Diode)

A form of semiconductor diode that emits light when current is passed through the junction.

Limiter

A circuit that prevents the amplitude of a waveform from exceeding a specified value.

Linear circuit

A linear circuit is an electronic circuit that has a continuously variable output that depends on the input signal level. Used interchangeably as a synonym for Analog.

Logic circuit

A circuit comprised of transistors or diodes together with resistors and other passive elements that performs a logical operation on an input signal. Also called a Logic Gate.

Logic gate

See Logic circuit.

Logic IC

A Logic Integrated Circuit (IC) is a single semiconductor chip comprised of transistors and diodes together with passive elements connected into a circuit that performs operations on signals representing digital logic values of “one” and “zero.” A logic gate function employs 1 to 4 transistors; a flip-flop consumes 4 to 6 transistors. Basic devices, such as AND, OR, NAND, NOR, and flip-flop functions, of up to a dozen logic gates in complexity are called SSI (Small Scale Integration) functions. More sophisticated counters, registers and arithmetic logic units (ALU) using up to 50 gates are called MSI (Medium Scale Integration) functions.

Logic simulator

A logic simulator is a computer program to that simulates the operation of a physical logic circuit under all expected conditions. It forms the primary tool for verifying the logical correctness of a concept before committing the design to hardware.

Logic switch

A circuit comprised of transistors or diodes together with resistors and other passive elements that performs a logical operation on an input signal by switching the output signal between high and low levels. Also called a Logic Gate.

Logic synthesis

Logic synthesis is a process by which a software description of a circuit is translated into a physical design implementation in accordance with specified rules for fabricating an integrated circuit. Logic synthesis tools are used for creating custom patterns for programmable logic devices or mask generation patterns for fabricating gate arrays.

LSI (Large Scale Integration)

Acronym derived in the late 1960s to describe an integrated circuit with 100 or more logic gates. VLSI (Very large scale integration) devices have more than 1000 gates.

Magnetic-bubble memory

Magnetic-bubble memory is a form of data storage system consisting of synthetic garnet material with small magnetic domains, known as bubbles, in its upper layer. The domains appear and disappear under the influence of an electromagnetic field. Their presence or absence represents a one or a zero.

Mask

A plate of glass or quartz carrying a pattern that is exposed onto a photosensitive layer covering the surface of a wafer in order to open selected areas to various fabrication processes.

Mask-programmed

The process of customizing the interconnections on a pre-diffused integrated circuit wafer during one of the last masking steps in the fabrication area.

Megabit

One million (1,000,000) bits of memory.

Merchant market

The competitive market for sale of products external to a manufacturer’s organization.

Mesa transistor

A transistor structure in which the junctions are stacked vertically with the top two layers being isolated by an etching process that produces a raised plateau-like profile.

Metal

A class of elements, or mixture of metallic elements, characterized by physical and chemical properties that include high conductance of heat and electricity.

Microcontroller

A Microcontroller or Micro-Control Unit (MCU) is a specialized form of MPU that includes on a single chip all the essential logic and memory functions plus analog and interface circuits to serve a particular need. Because of its specific focus many of the more general purpose functions of an MPU can be eliminated thus yielding a lower-cost solution for many applications. Increasingly MCU core architectures are embedded into much larger chips to enable system-on-chip (SOC) functionality.

Micrologic

A trade name used by Fairchild Semiconductor for its early digital integrated circuits. Abbreviated to µL as a prefix to a part number.

Micromatrix

A trade name used by Fairchild Semiconductor for its early gate array devices.

Micrometer

One millionth of a meter (1 inch = 25,400 mm) also known as a micron. 1 millimeter = 1000 microns.

Micromosaic

A trade name used by Fairchild Semiconductor for its early standard cell devices.

Microprocessor

A Microprocessor or Micro-Processing Unit (MPU) is a complex digital logic integrated circuit that incorporates the all of the logic, memory, and input/output circuits of a computer central processing unit (CPU) onto one or a set of chips that are designed to work together with a minimum number of ancillary devices. A typical MPU integrates the program counter, control logic, data paths, register, ALU, memory and I/O interfaces. Early microprocessors had limited arithmetic capabilities, operated on just 4-bits at a time, and operated at kilo-hertz (KHz) clock speeds. Modern MPUs offer 64-bit capability and can perform sophisticated operations at giga-hertz (GHz) clock rates.

Mixer

A device that adds two or more electronic signals together.

Modulator

A modulator adds information to an electronic or optical signal carrier.

Molecular electronics

A term coined by Westinghouse in the late 1950s to describe multi-transistor functions performed in a single piece of semiconductor material. An example was a gate-controlled four-layer switch for power control applications, sometimes called a thyristor. The term was adopted by other vendors to the military to describe various approaches to circuit integration. It was superseded by the term "integrated circuit" in the early 1960s.

Monolithic integrated circuit

A monolithic integrated circuit is a complete electronic circuit fabricated within a single semiconductor substrate and interconnected by conducting traces deposited on the surface.

MOS (Metal-Oxide-Semiconductor)

Acronym for a Metal-Oxide-Semiconductor transistor. Now used generically to describe an IC manufacturing technology that does not employ junction transistors.

MOSFET

Acronym for Metal-Oxide-Semiconductor Field Effect Transistor.

MPU

Acronym for Microprocessor Unit.

MSI (Medium Scale Integration)

Acronym for derived in the 1960s to describe an integrated circuit with 12 to 100 logic gates.

N-type semiconductor

Semiconductor material with an excess of electrons is defined as "negative" and is called n-type.

Nanometer

One U.S. billionth of a meter. See billion.

Negative Temperature Coefficient

A term describing the effect of a resistance that decreases when temperature increases. This is a characteristic of semiconductor devices in contrast to metals that have a positive temperature coefficient.

NMOS

Acronym for N-channel metal oxide semiconductor technology. NMOS was the preferred process for leading-edge chips in the 1970s and early 1980s. It was less expensive and denser than bipolar technology and faster than PMOS technology.

NOR gate

A logic gate where the output is low if at least one input is high.

NPN transistor

A junction transistor with a p-type base between an n-type emitter and an n-type collector. The emitter is normally negative with respect to the base and the collector is normally positive with respect to the base.

NVM (Non-Volatile Memory)

Non-volatile memory storage is a form of memory that retains data after power is removed.

Operational amplifier (op-amp)

An amplifier with high gain and high stability that is controlled by an externally connected negative-feedback circuit.

Oscillator

An electronic circuit designed to produce a stable alternating voltage or current.

Oxide isolation

The separation of circuit elements on a semiconductor device through the construction of a barrier wall of oxide deposited between the elements. This is different from dielectric isolation in which the isolation material passes completely under the circuit element.

P-type semiconductor

Semiconductor material with a deficiency of electrons, an excess of holes, is defined as "positive" and is called p-type.

PAL (Programmable Array Logic)

A specific form of a Programmable Logic Device (PLD) produced by Monolithic Memories, Inc. and its licensees.

Passivation layer

The surface coating of a die, usually thermally grown silicon dioxide, Si02 (glass), through which contact and diffusion windows are opened during the fabrication process

PGA (Pin Grid Array)

An IC packaging format that can accommodate devices with more than 100 external electrical connections.

Photolithography

The process of reproducing a desired pattern on the wafer surface using masks and photo sensitive coating material which is exposed and etched to permit diffusion and other processes in selected areas of a wafer.

Photoresist

A photo sensitive substance that becomes soluble when exposed to ultraviolet light. Used to define circuit patterns during wafer fabrication.

PLA (Programmable Logic Array)

A logic function having interconnections that can be configured to perform two sequential logic operations. The programming mechanism can be via a mask during manufacture or in the field with a fuse or EPROM cell.

Planar process

A trade name used by Fairchild Semiconductor to describe a process of manufacturing semiconductors whereby access to all devices is accomplished on a single plane formed by the upper surface and where the device integrity is preserved by a layer of silicon dioxide.

PLD (Programmable Logic Device)

The generic term applied to a class of integrated circuits where the logic function may be configured by the user with a sequence electrical signals without requiring access to a semiconductor manufacturing facility.

PMOS

Acronym for P-channel metal oxide semiconductor technology. PMOS was the simplest form of MOS technology to manufacture in the 1960s. It was slower and denser than bipolar technology but yielded a lower cost per function.

PNP transistor

A junction transistor with an n-type base between a p-type emitter and a p-type collector. The emitter is normally positive with respect to the base and the collector is normally negative with respect to the base.

Point-contact transistor

A transistor having a base electrode and two or more point contacts where the diode rectification effect occurs at the point of contact between a metal and a semiconductor.

Polycell

A trade name used by Motorola for its early standard cell devices.

Polysilicon

Short for polycrystalline silicon, or silicon made up of many crystals having different structural orientations. As a conductive material it is used as an interconnect layer on a chip.

Programmable Logic Array

See PLA.

PROM (Programmable Read-Only Memory)

A form of read-only memory that can be configured by the user without access to a semiconductor manufacturing facility.

Purple plague

The common name given to the development of a purple intermetallic compound when gold wires are bonded to aluminum metallization regions in the presence of silicon. Aluminum wire bonded to aluminum metallization was found to be the simplest and most reliable method for eliminating purple plague.

Quantum mechanics

The physical science used to calculate and analyze the energies and spatial distributions of small particles confined to very small regions of space based on the concept of the possession of wave properties by elementary particles and particle properties by radiation waves. Developed between 1900 and 1930 and combined with the general and special theory of relativity, it revolutionized the field of physics and explained the behavior of semiconductors.

PAL (Programmable Array Logic)

A specific form of a Programmable Logic Device (PLD) produced by Monolithic Memories, Inc. and its licensees.

Passivation layer

The surface coating of a die, usually thermally grown silicon dioxide, Si02 (glass), through which contact and diffusion windows are opened during the fabrication process

PGA (Pin Grid Array)

An IC packaging format that can accommodate devices with more than 100 external electrical connections.

Photolithography

The process of reproducing a desired pattern on the wafer surface using masks and photo sensitive coating material which is exposed and etched to permit diffusion and other processes in selected areas of a wafer.

Photoresist

A photo sensitive substance that becomes soluble when exposed to ultraviolet light. Used to define circuit patterns during wafer fabrication.

PLA (Programmable Logic Array)

A logic function having interconnections that can be configured to perform two sequential logic operations. The programming mechanism can be via a mask during manufacture or in the field with a fuse or EPROM cell.

Planar process

A trade name used by Fairchild Semiconductor to describe a process of manufacturing semiconductors whereby access to all devices is accomplished on a single plane formed by the upper surface and where the device integrity is preserved by a layer of silicon dioxide.

PLD (Programmable Logic Device)

The generic term applied to a class of integrated circuits where the logic function may be configured by the user with a sequence electrical signals without requiring access to a semiconductor manufacturing facility.

PMOS

Acronym for P-channel metal oxide semiconductor technology. PMOS was the simplest form of MOS technology to manufacture in the 1960s. It was slower and denser than bipolar technology but yielded a lower cost per function.

PNP transistor

A junction transistor with an n-type base between a p-type emitter and a p-type collector. The emitter is normally positive with respect to the base and the collector is normally negative with respect to the base.

Point-contact transistor

A transistor having a base electrode and two or more point contacts where the diode rectification effect occurs at the point of contact between a metal and a semiconductor.

Polycell

A trade name used by Motorola for its early standard cell devices.

Polysilicon

Short for polycrystalline silicon, or silicon made up of many crystals having different structural orientations. As a conductive material it is used as an interconnect layer on a chip.

Programmable Logic Array

See PLA.

PROM (Programmable Read-Only Memory)

A form of read-only memory that can be configured by the user without access to a semiconductor manufacturing facility.

Purple plague

The common name given to the development of a purple intermetallic compound when gold wires are bonded to aluminum metallization regions in the presence of silicon. Aluminum wire bonded to aluminum metallization was found to be the simplest and most reliable method for eliminating purple plague.

R&D (Research and Development)

The process of understanding the behavior of materials and using that knowledge to create useful products.

RAM (Random Access Memory)

A Read/Write Random Access Memory (RAM) is an integrated circuit specifically designed to store information in a configuration that allows any one bit of data to be accessed as rapidly as any other. The name is typically abbreviated to RAM although semiconductor ROMs also offer random access. Static RAM devices store information in a flip-flop circuit that uses 4 to 6 transistors per bit of data as compared to DRAMs that require just one transistor per bit. (see DRAM) Most semiconductor RAMs are volatile; data is lost when power is removed.

Rectifier

A specific type of diode used for converting alternating current into direct current.

RISC (Reduced Instruction Set Computing)

A RISC computer or microprocessor is designed to perform a limited number of types of computer instructions so that it can operate at the highest possible speed and consume the smallest possible chip area.

ROM (Read-Only Memory)

A Read-Only Memory (ROM) is a digital storage element where data is permanently written into the chip during the integrated circuit manufacturing process. It is used where information will remain unchanged throughout the life of a system. Requiring only one transistor or diode per bit and having simple input/output logic requirements, a ROM offers the most efficient form of semiconductor storage. A Programmable ROM (PROM) allows the user to program the contents of the memory on a one-time basis.

RTL (Resistor Transistor Logic)

An modified form of DCTL in which the intrinsic resistance in the base of the transistor is used to eliminate current hogging. Sold under the trade name Micrologic by Fairchild, GMe and others.

Scaling

Scaling is the practice of shrinking overall device dimensions with each reduction in the smallest lithographically-defined feature size that can be reproduced in a production environment to enhance the speed and reduce the die size of integrated circuits.

Schottky barrier diode

A Schottky barrier is the electric potential barrier formed between a metal and a semiconductor that can be used to create a Schottky diode. Named after German scientist Walter Schottky.

Semiconductor

A semiconductor is a material that is intermediate in electrical conductivity between conductors and insulators and that can be altered by the introduction of impurities to enhance or degrade the passage of current.

Semicustom

A semicustom integrated circuit is a device that is manufactured to a standard pattern up to the interconnect layer. This final layer can be configured by the manufacturer for the customer to perform a specific function by creating a custom metal mask.

Serial memory

A serial memory is one in which data is written and read one bit after the other. It is slower and lower in cost than a random access memory.

Shift register

A high-speed circuit that temporarily stores data for the purpose of shifting it left or right. It is used internally within a processor for multiplication and division, serial/parallel conversion and timing operations.

Silicon

Silicon (Si) is the second most common element on Earth after oxygen. It is the preferred material for manufacturing semiconductor devices for its wide range of temperature operation and the useful properties of silicon dioxide when used as an insulating and masking layer.

SLT (Solid Logic Technology)

A form of hybrid integrated circuit developed by IBM in the 1960s.

SMT (Surface-mount technology)

A package format that allows chips to be mounted on the surface rather than through the holes of a printed circuit board.

SOC (System-On-Chip)

System on a chip devices are semiconductor chips with embedded components that enable the chip to function as standalone system with few or no external support devices. They often incorporate a microprocessor or microcontroller, memory, and interface functions.

Solid Circuit

A trade name used by Texas Instruments to describe its early integrated circuits.

Solid-state physics

Solid-state physics is the study of rigid matter, or solids. The bulk of solid-state research is focused on crystalline materials because their electrical, magnetic, optical, and mechanical properties can be exploited for engineering purposes.

Source

The region of an MOS transistor where electrons move into the channel towards the drain.

Spin-off

A spin-off, also known as a spin-out, is a new organization or entity formed by a split from a larger one with or without the approval and support of the parent.

SSI (Small Scale Integration)

Acronym derived in the 1960s to describe an integrated circuit with 1 to 12 logic gates.

Standard cell

An integrated circuit design technology where circuit blocks from previous designs are stored in a software library and reused to create a new design.

Static RAM

Static RAM is a form of Random Access Read/Write Memory that retains its data for as long as power is applied to the circuit. This contrasts with a dynamic RAM (DRAM) that must be refreshed many times per second in order to hold its data. An SRAM is faster than a DRAM but requires up to six transistors per cell. It therefore consumes more silicon area and is more expensive to manufacture than a DRAM.

Surface states

Surface states are electronic states found at the surface of materials. The termination of bulk material leads to a weakened potential at the surface and a change of the electronic band structure where new, so called surface, states can be formed.

Thermionics

Thermionics is the study of the flow of electrons from a metal or metal oxide surface, caused by thermal vibrational energy overcoming the electrostatic forces holding electrons to the surface.

Thermistor

A resistor whose resistance changes in a predictable manner with temperature.

Transistor

A Transistor is a three terminal semiconductor device in which current or voltage at the input and output terminals is controlled by a voltage or current signal applied to a third electrode. Transistors, together with diodes, are the fundamental active elements used to implement all analog, digital logic, and storage circuits used in computers. There are two basic types of transistors. Unipolar transistors, commonly called FET (Field Effect) or MOS (Metal-Oxide-Silicon) devices, are smaller and consume less power than bipolar transistors, which are faster. Early transistors were fabricated from germanium; today most are made from silicon. Transistors may exist as a single standalone unit or interconnected with millions of other devices to form a complex integrated circuit.

Transistron

Name given to the first European transistor produced in France by German scientists Mataré and Welker in 1948.

TTL (Transistor Transistor Logic)

A form of bipolar integrated logic circuit that uses a multi-emitter input configuration to achieve fast switching speed compared to earlier DTL and RTL logic circuits.

Tunnel diode

A diode in which the current decreases as the voltage is increased, effectively exhibiting negative resistance. It is named for the quantum-mechanical tunneling effect it exploits. Also known as the Esaki diode after its discoverer Leona Esaki of Sony, it offered fast switching circuits with very low power consumption compared to other discrete devices.

Unipolar transistor

A unipolar transistor uses only one type of carrier for conduction - either holes or electrons. Field-effect transistors are unipolar devices.

Thermistor

A resistor whose resistance changes in a predictable manner with temperature.

Transistor

A Transistor is a three terminal semiconductor device in which current or voltage at the input and output terminals is controlled by a voltage or current signal applied to a third electrode. Transistors, together with diodes, are the fundamental active elements used to implement all analog, digital logic, and storage circuits used in computers. There are two basic types of transistors. Unipolar transistors, commonly called FET (Field Effect) or MOS (Metal-Oxide-Silicon) devices, are smaller and consume less power than bipolar transistors, which are faster. Early transistors were fabricated from germanium; today most are made from silicon. Transistors may exist as a single standalone unit or interconnected with millions of other devices to form a complex integrated circuit.

Transistron

Name given to the first European transistor produced in France by German scientists Mataré and Welker in 1948.

TTL (Transistor Transistor Logic)

A form of bipolar integrated logic circuit that uses a multi-emitter input configuration to achieve fast switching speed compared to earlier DTL and RTL logic circuits.

Tunnel diode

A diode in which the current decreases as the voltage is increased, effectively exhibiting negative resistance. It is named for the quantum-mechanical tunneling effect it exploits. Also known as the Esaki diode after its discoverer Leona Esaki of Sony, it offered fast switching circuits with very low power consumption compared to other discrete devices.

Vacuum tube

A high-voltage electronic device consisting of thermionic electrodes arranged in an evacuated glass or metal envelope. Also known as an electron tube or a valve.

VLSI (Very Large Scale Integration )

Acronym derived in the 1970s to describe an integrated circuit with 1000 or more logic gates.

Voltage regulator

An analog circuit that maintains a constant output voltage under conditions of changing line voltage and/or load current.

Wafer

A thin piece of high-purity semiconductor crystal sliced from a cylindrical ingot. Used as the base material for building integrated circuits.

Wafer fabrication

The process whereby semiconductor elements are manufactured on the surface of silicon wafers.

Wafer foundry

A semiconductor facility that specializes in manufacturing wafers for third parties.

Wafer sort

An electrical test procedure that distinguishes good from bad devices on a wafer prior to assembly.

Wire bonding

The process of connecting wires from bonding pads on a chip to make an electrical connection to the external leads on a package.

Zone refining

The process of purifying semiconductor material by repeatedly passing an ingot through a series of electrical heating coils. Impurities became concentrated in a temporarily molten region and are swept away to the end of the ingot.

Wafer fabrication

The process whereby semiconductor elements are manufactured on the surface of silicon wafers.

Wafer foundry

A semiconductor facility that specializes in manufacturing wafers for third parties.

Wafer sort

An electrical test procedure that distinguishes good from bad devices on a wafer prior to assembly.

Wire bonding

The process of connecting wires from bonding pads on a chip to make an electrical connection to the external leads on a package.